Skip to:Content
|
Bottom
Cover image for Digital systems design with VHDL and synthesis : an integrated approach
Title:
Digital systems design with VHDL and synthesis : an integrated approach
Publication Information:
Los Alamitos, Calif. : IEEE Computer Society, 1999
ISBN:
9780769500232

Available:*

Library
Item Barcode
Call Number
Material Type
Item Category 1
Status
Searching...
30000010047109 TK7888.4 C434 1999 Open Access Book Book
Searching...

On Order

Summary

Summary

K.C. Chang presents an integrated approach to digital design principles, processes, and implementations to help the reader design increasingly complex systems within shorter design cycles. Chang introduces digital design concepts, VHDL coding, VHDL simulation, synthesis commands, and strategies together.

Digital Systems Design with VHDL and Synthesis focuses on the ultimate product of the design cycle: the implementation of a digital design. Many of the design techniques and considerations illustrated in the text are examples of actual real-world designs.

Unique features of the book include the following:

VHDL code explained line by line to capture the logic behind the design concepts Simulation waveforms, synthesized schematics, and results are shown, verified, and analyzed VHDL code is synthesized and commands and strategies are discussed Variations on the design techniques and common mistakes are addressed Demonstrated standard cell, gate array, and FPGA three design processes, each with a complete design case study Test bench, post-layout verification, and test vector generation processes are illustrated


Author Notes

Kwang-chih Chang, commonly known as K.C. Chang, was a Chinese-American archaeologist and sinologist. He was the John E. Hudson Professor of archaeology at Harvard University, Vice-President of the Academia Sinica, and a curator at the Peabody Museum of Archaeology and Ethnology.


Table of Contents

Chapter 1 Introductionp. 1
1.1 Integrated Design Process and Methodologyp. 1
1.2 Book Overviewp. 2
Chapter 2 VHDL and Digital Circuit Primitivesp. 4
2.1 Flip Flopp. 4
2.2 Latchp. 15
2.3 Three-State Bufferp. 18
2.4 Combinational Gatesp. 22
2.5 VHDL Synthesis Rulesp. 26
2.6 Padsp. 30
2.7 Exercisesp. 30
Chapter 3 VHDL Simulation and Synthesis Environment and Design Processp. 32
3.1 Synopsys VHDL Simulation Environment Overviewp. 32
3.2 Mentor Quick VHDL Simulation Environmentp. 36
3.3 Synthesis Environmentp. 39
3.4 Synthesis Technology Libraryp. 45
3.5 VHDL Design Process for a Blockp. 47
3.6 Exercisesp. 52
Chapter 4 Basic Combinational Circuitsp. 53
4.1 Selectorp. 53
4.2 Encoderp. 68
4.3 Code Converterp. 71
4.4 Equality Checkerp. 73
4.5 Comparator with Single Outputp. 79
4.6 Comparator with Multiple Outputsp. 82
4.7 Exercisesp. 89
Chapter 5 Basic Binary Arithmetic Circuitsp. 91
5.1 Half Adder and Full Adderp. 91
5.2 Carry Ripple Adderp. 97
5.3 Carry Look Ahead Adderp. 101
5.4 Countone Circuitp. 119
5.5 Leading Zero Circuitp. 123
5.6 Barrel Shifterp. 132
5.7 Exercisesp. 137
Chapter 6 Basic Sequential Circuitsp. 143
6.1 Signal Manipulatorp. 143
6.2 Counterp. 150
6.3 Shift Registerp. 166
6.4 Parallel to Serial Converterp. 177
6.5 Serial to Parallel Converterp. 180
6.6 Exercisesp. 186
Chapter 7 Registersp. 187
7.1 General Framework for Designing Registersp. 187
7.2 Interrupt Registersp. 189
7.3 DMA and Control Registersp. 193
7.4 Configuration Registersp. 196
7.5 Reading Registersp. 201
7.6 Register Block Partitioning and Synthesisp. 202
7.7 Testing Registersp. 213
7.8 Microprocessor Registersp. 219
7.9 Exercisesp. 221
Chapter 8 Clock and Reset Circuitsp. 222
8.1 Clock Buffer and Clock Treep. 222
8.2 Clock Tree Generationp. 225
8.3 Reset Circuitryp. 228
8.4 Clock Skew and Fixesp. 230
8.5 Synchronization between Clock Domainsp. 238
8.6 Clock Dividerp. 242
8.7 Gated Clockp. 246
8.8 Exercisesp. 250
Chapter 9 Dual-Port RAM, FIFO, and Dram Modelingp. 251
9.1 Dual-Port RAMp. 251
9.2 Synchronous FIFOp. 260
9.3 Asynchronous FIFOp. 266
9.4 Dynamic Random Access Memory (DRAM)p. 274
9.5 Exercisesp. 286
Chapter 10 A Design Case Study: Finite Impulse Response Filter Asic Designp. 288
10.1 Design Descriptionp. 288
10.2 Design Partitionp. 293
10.3 Design Verificationp. 307
10.4 Design Synthesisp. 322
10.5 Worst-Case Timing Analysisp. 325
10.6 Best-Case Timing Analysisp. 329
10.7 Netlist Generationp. 331
10.8 Postlayout Verificationp. 334
10.9 Design Managementp. 337
10.10 Exercisesp. 339
Chapter 11 A Design Case Study: A Microprogram Controller Designp. 341
11.1 Microprogram Controllerp. 341
11.2 Design Description and Partitionp. 344
11.3 Design Verificationp. 362
11.4 Design Synthesisp. 377
11.5 Postsynthesis Timing Verificationp. 382
11.6 Preparing Release Functional Vectorsp. 383
11.7 Postlayout Verificationp. 387
11.8 Design Managementp. 387
11.9 Exercisesp. 389
Chapter 12 Error Detection and Correctionp. 390
12.1 Error Detection and Correction Codep. 390
12.2 Single Error Detecting Codesp. 390
12.3 Single Error Correcting Codesp. 391
12.4 Single Error Correcting and Double Error Detecting Codesp. 393
12.5 Error Detecting and Correcting Code Design Examplep. 394
12.6 Design Verificationp. 400
12.7 Design Synthesisp. 403
12.8 Netlist Generation and FPGA Place and Routep. 407
12.9 Exercisesp. 407
Chapter 13 Fixed-Point Multiplicationp. 408
13.1 Multiplication Conceptp. 408
13.2 Unsigned Binary Multiplierp. 409
13.3 2's Complement Multiplicationp. 419
13.4 Wallace Tree Addersp. 423
13.5 Booth-Wallace Tree Multiplierp. 425
13.6 Booth-Wallace Tree Multiplier Verificationp. 429
13.7 Booth-Wallace Tree Multiplier Synthesisp. 431
13.8 Multiplication with Shift and Addp. 437
13.9 Exercisesp. 442
13.10 Referencesp. 444
Chapter 14 Fixed-Point Divisionp. 445
14.1 Basic Division Conceptp. 445
14.2 32-Bit Dividerp. 451
14.3 Design Partitionp. 452
14.4 Design Optimizationp. 453
14.5 Design Verificationp. 458
14.6 Design Synthesisp. 462
14.7 Exercisesp. 465
14.8 Referencep. 466
Chapter 15 Floating-Point Arithmeticp. 467
15.1 Floating-Point Number Representationp. 467
15.2 Floating-Point Additionp. 468
15.3 Floating-Point Multiplicationp. 479
15.4 Exercisesp. 484
Appendix A Package Packp. 485
Indexp. 496
Go to:Top of Page