Skip to:Content
|
Bottom
Cover image for ESD : RF technology and circuits
Title:
ESD : RF technology and circuits
Personal Author:
Publication Information:
Hoboken, NJ : John Wiley & Sons, 2006
ISBN:
9780470847558

Available:*

Library
Item Barcode
Call Number
Material Type
Item Category 1
Status
Searching...
30000010135671 TK7874.78 V64 2006 Open Access Book Book
Searching...
Searching...
30000010087547 TK7874.78 V64 2006 Open Access Book Book
Searching...
Searching...
30000010144670 TK7874.78 V64 2006 Open Access Book Book
Searching...

On Order

Summary

Summary

With the growth of high-speed telecommunications and wireless technology, it is becoming increasingly important for engineers to understand radio frequency (RF) applications and their sensitivity to electrostatic discharge (ESD) phenomena. This enables the development of ESD design methods for RF technology, leading to increased protection against electrical overstress (EOS) and ESD.

ESD: RF Technology and Circuits:

Presents methods for co-synthesizisng ESD networks for RF applications to achieve improved performance and ESD protection of semiconductor chips; discusses RF ESD design methods of capacitance load transformation, matching network co-synthesis, capacitance shunts, inductive shunts, impedance isolation, load cancellation methods, distributed loads, emitter degeneration, buffering and ballasting; examines ESD protection and design of active and passive elements in RF complementary metal-oxide-semiconductor (CMOS), RF laterally-diffused metal oxide semiconductor (LDMOS), RF BiCMOS Silicon Germanium (SiGe), RF BiCMOS Silicon Germanium Carbon (SiGeC), and Gallim Arsenide technology; gives information on RF ESD testing methodologies, RF degradation effects, and failure mechanisms for devices, circuits and systems; highlights RF ESD mixed-signal design integration of digital, analog and RF circuitry; sets out examples of RF ESD design computer aided design methodologies; covers state-of-the-art RF ESD input circuits, as well as voltage-triggered to RC-triggered ESD power clamps networks in RF technologies, as well as off-chip protection concepts.

Following the authors series of books on ESD, this book will be a thorough overview of ESD in RF technology for RF semiconductor chip and ESD engineers. Device and circuit engineers working in the RF domain, and quality, reliability and failure analysis engineers will also find it a valuable reference in the rapidly growing are of RF ESD design. In addition, it will appeal to graduate students in RF microwave technology and RF circuit design.


Author Notes

Steven H. Voldman is the author of ESD: RF Technology and Circuits, published by Wiley.


Table of Contents

Prefacep. xv
Acknowledgementsp. xxi
Chapter 1 RF Design and ESDp. 1
1.1 Fundamental Concepts of ESD Designp. 1
1.2 Fundamental Concepts of RF ESD Designp. 4
1.3 Key RF ESD Contributionsp. 10
1.4 Key RF ESD Patentsp. 13
1.5 ESD Failure Mechanismsp. 13
1.5.1 RF CMOS ESD Failure Mechanismsp. 14
1.5.2 Silicon Germanium ESD Failure Mechanismsp. 15
1.5.3 Silicon Germanium Carbon ESD Failure Mechanisms in Silicon Germanium Carbon Devicesp. 15
1.5.4 Gallium Arsenide Technology ESD Failure Mechanismsp. 16
1.5.5 Indium Gallium Arsenide ESD Failure Mechanismsp. 16
1.5.6 RF Bipolar Circuits ESD Failure Mechanismsp. 17
1.6 RF Basicsp. 17
1.7 Two-Port Network Parametersp. 21
1.7.1 Z-Parametersp. 21
1.7.2 Y-Parametersp. 22
1.7.3 S-Parametersp. 22
1.7.4 T-Parametersp. 23
1.8 Stability: RF Design Stability and ESDp. 24
1.9 Device Degradation and ESD Failurep. 26
1.9.1 ESD-Induced D.C. Parameter Shift and Failure Criteriap. 26
1.9.2 RF Parameters, ESD Degradation, and Failure Criteriap. 28
1.10 RF ESD Testingp. 29
1.10.1 ESD Testing Modelsp. 29
1.10.2 RF Maximum Power-to-Failure and ESD Pulse Testing Methodologyp. 33
1.10.3 ESD-Induced RF Degradation and S-Parameter Evaluation Test Methodologyp. 37
1.11 Time Domain Reflectometry (TDR) and Impedance Methodology for ESD Testingp. 39
1.11.1 Time Domain Reflectometry (TDR) ESD Test System Evaluationp. 40
1.11.2 ESD Degradation System Level Method - Eye Testsp. 44
1.12 Product Level ESD Test and RE Functional Parameter Failurep. 46
1.13 Combined RF and ESD TLP Test Systemsp. 48
1.14 Closing Comments and Summaryp. 51
Problemsp. 52
Referencesp. 53
Chapter 2 RF ESD Designp. 61
2.1 ESD Design Methods: Ideal ESD Networks and RF ESD Design Windowsp. 61
2.1.1 Ideal ESD Networks and the Current-Voltage d.c. Design Windowp. 61
2.1.2 Ideal ESD Networks in the Frequency Domain Design Windowp. 63
2.2 RF ESD Design Methods: Linearityp. 64
2.3 RF ESD Design: Passive Element Quality Factors and Figures of Meritp. 68
2.4 RF ESD Design Methods: Method of Substitutionp. 70
2.4.1 Method of Substitution of Passive Element to ESD Network Elementp. 71
2.4.2 Substitution of ESD Network Element to Passive Elementp. 72
2.5 RF ESD Design Methods: Matching Networks and RF ESD Networksp. 73
2.5.1 RF ESD Method - Conversion of Matching Networks to ESD Networksp. 74
2.5.2 RF ESD Method: Conversion of ESD Networks into Matching Networksp. 76
2.5.2.1 Conversion of ESD Networks into L-Match Networksp. 76
2.5.2.2 Conversion of ESD Networks into [Pi]-Match Networksp. 77
2.5.2.3 Conversion of ESD Networks into T-Match Networksp. 78
2.6 RF ESD Design Methods: Inductive Shuntp. 79
2.7 RF ESD Design Methods: Cancellation Methodp. 82
2.7.1 Quality Factors and the Cancellation Methodp. 82
2.7.2 Inductive Cancellation of Capacitance Load and Figures of Meritp. 83
2.7.3 Cancellation Method and ESD Circuitryp. 85
2.8 RF ESD Design Methods: Impedance Isolation Technique Using LC Resonatorp. 89
2.9 RF ESD Design Methods: Lumped versus Distributed Loadsp. 91
2.9.1 RF ESD Distributed Load with Coplanar Wave Guidesp. 92
2.9.2 RF ESD Distribution Coplanar Waveguides Analysis Using ABCD Matricesp. 93
2.10 ESD RF Design Synthesis and Floor Planning: RF, Analog, and Digital Integrationp. 95
2.10.1 ESD Power Clamp Placement Within a Domainp. 96
2.10.2 Power Bus Architecture and ESD Design Synthesisp. 97
2.10.3 V[subscript DD]-to-V[subscript SS] Power Rail Protectionp. 98
2.10.4 VoD-to-Analog Vdd and Vdo-Io-RF Vcc Power Rail Protectionp. 99
2.10.5 Interdomain BSD Protection Networksp. 100
2.11 ESD Circuits and RF Bond Pad Integrationp. 101
2.12 ESD Structures Under Wire Bond Padsp. 103
2.13 Summary and Closing Commentsp. 106
Problemsp. 106
Referencesp. 108
Chapter 3 RF CMOS and ESDp. 111
3.1 RF CMOS: ESD Device Comparisonsp. 111
3.2 Circular RF ESD Devicesp. 116
3.3 RF ESD Design-ESD Wiring Designp. 118
3.4 RF Passives: ESD and Schottky Barrier Diodesp. 120
3.5 RF Passives: ESD and Inductorsp. 122
3.6 RF Passives: ESD and Capacitorsp. 127
3.6.1 Metal-oxide-Semiconductor and Metal-Insulator-Metal Capacitorsp. 128
3.6.2 Varactors and Hyper-Abrupt Junction Varactor Capacitorsp. 128
3.6.3 Metal-ILD-Metal Capacitorsp. 129
3.6.4 Vertical Parallel Plate (VPP) Capacitorsp. 130
3.7 Summary and Closing Commentsp. 131
Problemsp. 132
Referencesp. 133
Chapter 4 RF CMOS ESD Networksp. 139
4.1 RF CMOS Input Circuitsp. 139
4.1.1 RF CMOS ESD Diode Networksp. 139
4.1.2 RF CMOS Diode String ESD Networkp. 143
4.2 RF CMOS: Diode-Inductor ESD Networksp. 145
4.2.1 RF Inductor-Diode ESD Networksp. 147
4.2.2 RF Diode-Inductor ESD Networksp. 148
4.3 RF CMOS Impedance Isolation LC Resonator ESD Networksp. 149
4.3.1 RF CMOS LC-Diode ESD Networksp. 150
4.3.2 RF CMOS Diode-LC ESD Networksp. 150
4.3.3 Experimental Results of the RF CMOS LC-Diode Networksp. 151
4.4 RF CMOS LNA ESD Designp. 152
4.4.1 RF LNA ESD Design: Low Resistance ESD Inductor and ESD Diode Clamping Elements in [Pi]-Configurationp. 153
4.5 RF CMOS T-Coil Inductor ESD Input Networkp. 157
4.6 RF CMOS Distributed ESD Networksp. 159
4.6.1 RF CMOS Distributed RF ESD Networksp. 159
4.6.2 RF CMOS Distributed RF ESD Networks using Series Inductor and Dual-Diode Shuntp. 160
4.6.3 RF CMOS Distributed RF ESD Networks using Series Inductor and MOSFET Parallel Shuntp. 163
4.7 RF CMOS Distributed ESD Networks: Transmission Lines and Coplanar Waveguidesp. 165
4.8 RF CMOS: ESD and RF LDMOS Power Technologyp. 167
4.9 RF CMOS ESD Power Clampsp. 170
4.9.1 RC-Triggered MOSFET ESD Power Clampp. 172
4.9.2 High Voltage RC-Triggered MOSFET ESD Power Clampp. 174
4.9.3 Voltage-Triggered MOSFET ESD Power Clampsp. 175
4.10 Summary and Closing Commentsp. 176
Problemsp. 177
Referencesp. 178
Chapter 5 Bipolar Physicsp. 183
5.1 Bipolar Device Physicsp. 183
5.1.1 Bipolar Transistor Current Equationsp. 183
5.1.2 Bipolar Current Gain and Collector-to-Emitter Transportp. 184
5.1.3 Unity Current Gain Cutoff Frequencyp. 185
5.1.4 Unity Power Gain Cutoff Frequencyp. 186
5.2 Transistor Breakdownp. 186
5.2.1 Avalanche Multiplication and Breakdownp. 186
5.2.2 Bipolar Transistor Breakdownp. 188
5.3 Kirk Effectp. 190
5.4 Johnson Limit: Physical Limitations of the Transistorp. 191
5.4.1 Voltage-Frequency Relationshipp. 191
5.4.2 Johnson Limit Current-Frequency Formulationp. 193
5.4.3 Johnson Limit Power Formulationp. 194
5.5 RF Instability: Emitter Collapsep. 195
5.6 ESD RF Design Layout: Emitter, Base, and Collector Configurationsp. 201
5.7 ESD RF Design Layout: Utilization of a Second Emitter (Phantom Emitter)p. 204
5.8 ESD RF Design Layout: Emitter Ballastingp. 208
5.9 ESD RF Design Layout: Thermal Shunts and Thermal Lensesp. 210
5.10 Base-Ballasting and RF Stabilityp. 211
5.11 Summary and Closing Commentsp. 213
Problemsp. 213
Referencesp. 214
Chapter 6 Silicon Germanium and ESDp. 217
6.1 Heterojunctions and Silicon Germanium Technologyp. 217
6.1.1 Silicon Germanium HBT Devicesp. 218
6.1.2 Silicon Germanium Device Structurep. 219
6.2 Silicon Germanium Physicsp. 221
6.3 Silicon Germanium Carbonp. 224
6.4 Silicon Germanium ESD Measurementsp. 226
6.4.1 Silicon Germanium Collector-to-Emitter ESD Stressp. 227
6.4.2 ESD Comparison of Silicon Germanium HBT and Silicon BJTp. 229
6.4.3 SiGe HBT Electrothermal Human Body Model (HBM) Simulation of Collector-Emitter Stressp. 232
6.5 Silicon Germanium Carbon Collector-Emitter ESD Measurementsp. 233
6.6 Silicon Germanium Transistor Emitter-Base Designp. 237
6.6.1 Epitaxial-Base Heterojunction Bipolar Transistor (HBT) Emitter-Base Designp. 238
6.6.2 Emitter-Base Design RF Frequency Performance Metricsp. 240
6.6.3 SiGe HBT Emitter-Base Resistance Modelp. 240
6.6.4 SiGe HBT Emitter-Base Design and Silicide Placementp. 241
6.6.5 Self-Aligned (SA) Emitter-Base Designp. 245
6.6.6 Non-self aligned (NSA) Emitter-Base Designp. 248
6.6.6.1 NSA Human Body Model (HBM) Step Stressp. 249
6.6.6.2 Transmission Line Pulse (TLP) Step Stressp. 250
6.6.6.3 RF Testing of SiGe HBT Emitter-Base Configurationp. 251
6.6.6.4 Unity Current Gain Cutoff Frequency-Collector Current Plotsp. 254
6.6.7 Silicon Germanium Carbon - ESD-Induced S-Parameter Degradationp. 256
6.6.8 Electrothermal Simulation of Emitter-Base Stressp. 258
6.7 Field-Oxide (FOX) Isolation Defined Silicon Germanium Heterojunction Bipolar Transistor HBM Datap. 259
6.8 Silicon Germanium HBT Multiple-Emitter Studyp. 260
6.9 Summary and Closing Commentsp. 262
Problemsp. 262
Referencesp. 263
Chapter 7 Gallium Arsenide and ESDp. 269
7.1 Gallium Arsenide Technology and ESDp. 269
7.2 Gallium Arsenide Energy-to-Failure and Power-to-Failurep. 269
7.3 Gallium Arsenide ESD Failures in Active and Passive Elementsp. 272
7.4 Gallium Arsenide HBT Devices and ESDp. 273
7.4.1 Gallium Arsenide HBT Device ESD Resultsp. 274
7.4.2 Gallium Arsenide HBT Diode Stringsp. 275
7.5 Gallium Arsenide HBT-Based Passive Elementsp. 277
7.5.1 GaAs HBT Base-Collector Varactorp. 277
7.6 Gallium Arsenide Technology Table of Failure Mechanismsp. 279
7.7 Indium Gallium Arsenide and ESDp. 279
7.8 Indium Phosphide (InP) and ESDp. 281
7.9 Summary and Closing Commentsp. 281
Problemsp. 281
Referencesp. 282
Chapter 8 Bipolar Receiver Circuits and Bipolar ESD Networksp. 287
8.1 Bipolar Receivers and ESDp. 287
8.2 Single Ended Common-Emitter Receiver Circuitsp. 288
8.2.1 Single-Ended Bipolar Receiver with D.C. Blocking Capacitorsp. 289
8.2.2 Single-Ended Bipolar Receiver with D.C. Blocking Capacitors and ESD Protectionp. 290
8.2.3 Bipolar Single-Ended Common-Emitter Receiver Circuit with Feedback Circuitp. 291
8.2.3.1 Bipolar Single-Ended Common-Emitter Circuit with Resistor Feedback Elementp. 291
8.2.3.2 Bipolar Single-Ended Common-Emitter Receiver Circuit with Resistor-Capacitor Feedback Elementp. 292
8.2.4 Bipolar Single-Ended Common-Emitter Receiver Circuit with Emitter Degenerationp. 293
8.2.5 Bipolar Single-Ended Common Emitter Circuit with Balun Outputp. 297
8.2.6 Bipolar Single-Ended Series Cascode Receiver Circuitsp. 298
8.3 Bipolar Differential Receiver Circuitsp. 300
8.3.1 Bipolar Differential Cascode Common-Emitter Receiver Circuitsp. 302
8.4 Bipolar ESD Input Circuitsp. 303
8.4.1 Diode-Configured Bipolar ESD Input Circuitsp. 307
8.4.2 Bipolar ESD Input: Resistor Grounded Base Bipolar ESD Inputp. 308
8.5 Bipolar-Based ESD Power Clampsp. 312
8.5.1 Bipolar Voltage-Triggered ESD Power Clampsp. 312
8.5.2 Zener Breakdown Voltage-Triggered ESD Power Clampsp. 312
8.5.3 BV[subscript CEO] Voltage-Triggered ESD Power Clampsp. 318
8.5.4 Mixed Voltage Interface Forward-Bias Voltage and BV[subscript CEO] Breakdown Synthesized Bipolar ESD Power Clampsp. 323
8.5.5 Ultra-Low Voltage Forward-Biased Voltage-Trigger BiCMOS ESD Power Clampsp. 328
8.5.6 Capacitively Triggered BiCMOS ESD Power Clampsp. 332
8.6 Bipolar ESD Diode String and Triple-Well Power Clampsp. 334
8.7 Summary and Closing Commentsp. 335
Problemsp. 335
Referencesp. 337
Chapter 9 RF and ESD Computer-Aided Design (CAD)p. 339
9.1 RF ESD Design Environmentp. 339
9.1.1 Electrostatic Discharge and Radio Frequency (RF) Cosynthesis Design Methodsp. 339
9.1.2 ESD Hierarchical Pcell Physical Layout Generationp. 340
9.1.3 ESD Hierarchical Pcell Schematic Generationp. 341
9.2 ESD Design with Hierarchical Parameterized Cellsp. 341
9.2.1 Hierarchical Pcell Graphical Methodp. 342
9.2.2 Hierarchical Pcell Schematic Methodp. 344
9.3 ESD Design of RF CMOS-Based Hierarchical Parameterized Cellsp. 347
9.4 RF BiCMOS ESD Hierarchical Parameterized Cellp. 349
9.4.1 BiCMOS ESD Input Networksp. 350
9.4.2 BiCMOS ESD Rail-to-Railp. 353
9.4.3 BiCMOS ESD Power Clampsp. 354
9.5 Advantages and Limitations of the RF ESD Design Systemp. 359
9.6 Guard Ring P-Cell Methodologyp. 362
9.6.1 Guard Rings for Internal and External Latchup Phenomenap. 362
9.6.2 Guard Ring Theoryp. 363
9.6.3 Guard Ring Designp. 365
9.6.4 Guard Ring Characterizationp. 367
9.7 Summary and Closing Commentsp. 370
Problemsp. 370
Referencesp. 371
Chapter 10 Alternative ESD Concepts: On-Chip and Off-Chip ESD Protection Solutionsp. 375
10.1 Spark Gapsp. 375
10.2 Field Emission Devicesp. 378
10.2.1 Field Emission Device (FED) as ESD Protectionp. 378
10.2.2 Field Emission Device in Gallium Arsenide Technologyp. 379
10.2.3 Field Emission Device Electronic Blunting Effectp. 380
10.2.4 Field Emission Device Multiemitter ESD Designp. 380
10.2.5 Field Emission Device (FED) ESD Design Practicesp. 382
10.3 Off-Chip Protection and Off-Chip Transient Suppression Devicesp. 382
10.3.1 Off-Chip Transient Voltage Suppression (TVS) Devicesp. 383
10.3.2 Off-Chip Polymer Voltage Suppression (PVS) Devicesp. 384
10.4 Package-Level Mechanical ESD Solutionsp. 386
10.5 RE Proximity Communications Chip-to-Chip ESD Design Practicesp. 387
10.6 Summary and Closing Commentsp. 388
Problemsp. 389
Referencesp. 389
Indexp. 391
Go to:Top of Page