Cover image for Silicon wafer bonding technology :  for VLSI and MEMS applications
Title:
Silicon wafer bonding technology : for VLSI and MEMS applications
Publication Information:
London : INSPEC, 2002
ISBN:
9780852960394

Available:*

Library
Item Barcode
Call Number
Material Type
Item Category 1
Status
Searching...
30000010019235 TK7871.85 S55 2002 Open Access Book Book
Searching...

On Order

Summary

Summary

By bonding a thin wafer of active silicon to a thicker wafer via a layer of insulating oxide to form an SOI structure it is possible to substantially improve the performance and integration of microelectronic circuits produced by very large scale integration (VLSI). For example, the recently announced IBM Power 4 'server-ona- chip' integrates two microprocessors, a high bandwidth system switch, a large memory cache and input/output functions. SOI wafer bonding is also an enabling technology in the rapidly growing field of microelectromechanical systems (MEMS).

Engineers who are developing volume production systems employing wafer bonding are in need of guidance from experts in the industry who have been pioneering the field and the book is designed for this purpose. It briefs the process development engineer on the latest emerrging technology, giving the reader the benefit of RandD by companies at the forefront of SOI, and also describes the basic principles. Applications in CMOS, photonics, optoelectronics and MEMS are discussed , there is a glossary of terms used in the field and a table comparing the various bonding methods. Although the main focus is on SOI there is also an appendix which describes a practical silicon-to-silicon bonding process and gives useful information relevant to wafer bonding in general.

s in CMOS, photonics, optoelectronics and MEMS are discussed , there is a glossary of terms used in the field and a table comparing the various bonding methods. Although the main focus is on SOI there is also an appendix which describes a practical silicon-to-silicon bonding process and gives useful information relevant to wafer bonding in general.s in CMOS, photonics, optoelectronics and MEMS are discussed , there is a glossary of terms used in the field and a table comparing the various bonding methods. Although the main focus is on SOI there is also an appendix which describes a practical silicon-to-silicon bonding process and gives useful information relevant to wafer bonding in general.s in CMOS, photonics, optoelectronics and MEMS are discussed , there is a glossary of terms used in the field and a table comparing the various bonding methods. Although the main focus is on SOI there is also an appendix which describes a practical silicon-to-silicon bonding process and gives useful information relevant to wafer bonding in general.


Table of Contents

S.S. IyerQ.-Y. TongK. MitaniB. Aspar and A.J. Auberton-HerveT. YoneharaG. Pfeiffer and S.S. IyerE.C. Jones and S.W. BedellI.K. Bansal and J.P. Goodrich
Dedicationp. ix
Editorsp. xi
Authorsp. xiii
Abbreviationsp. xvii
Introduction and overviewp. xix
1 Principles of wafer bondingp. 1
1.1 Introductionp. 1
1.2 Wafer Bonding Basicsp. 3
1.2.1 Surface smoothnessp. 3
1.2.2 Surface flatnessp. 5
1.2.3 Surface cleanlinessp. 7
1.2.4 Standard wafer bonding and layer transfer proceduresp. 7
1.3 Generic Nature of Wafer Bondingp. 13
1.4 Low Temperature Wafer Bondingp. 13
1.4.1 Introductionp. 13
1.4.2 Room temperature covalent bondingp. 14
1.4.3 Low temperature epitaxial wafer bondingp. 16
1.5 Summaryp. 18
2 Bond, grind-back and polish SOIp. 21
2.1 Introductionp. 21
2.2 Processingp. 21
2.2.1 Fabrication process flowp. 21
2.2.2 Initial bondingp. 22
2.2.3 Bonding annealp. 24
2.2.4 Thinning by grinding and polishingp. 25
2.2.5 Thinning by PACEp. 29
2.2.6 Wafer size availabilityp. 30
2.3 Physical and Electrical Propertiesp. 30
2.3.1 Warpage and stressp. 30
2.3.2 Crystal defectsp. 31
2.3.3 Fixed charges in BOXp. 33
2.4 Summaryp. 34
3 Smart Cut: the technology used for high volume SOI wafer productionp. 35
3.1 Introductionp. 35
3.2 Processingp. 35
3.3 Physical Mechanismsp. 36
3.3.1 Effect of hydrogen implantation in siliconp. 36
3.3.2 Splitting kineticsp. 41
3.3.3 Cleaning and bondingp. 43
3.4 Applicationsp. 44
3.4.1 Introductionp. 44
3.4.2 Silicon on insulator wafersp. 44
3.4.3 Transfer of thin semiconductor films on new bonded layersp. 46
3.4.4 Transfer of materials other than siliconp. 48
3.5 Summaryp. 49
4 ELTRAN (SOI-Epi wafer) technologyp. 53
4.1 Introductionp. 53
4.2 Special Features of ELTRANp. 53
4.2.1 SOI-Epi waferp. 53
4.2.2 Surface smoothing techniquesp. 54
4.2.3 Film thickness controlp. 56
4.2.4 Cloning (wafer recycling)p. 56
4.3 Processingp. 56
4.3.1 Introductionp. 56
4.3.2 Anodizationp. 57
4.3.3 Epitaxial growthp. 58
4.3.4 Bonding and splittingp. 59
4.3.5 Etchingp. 60
4.3.6 Hydrogen annealingp. 62
4.4 Applicability and Comparisonsp. 63
4.4.1 SOI/BOX thickness requirement for various SOI device applicationsp. 63
4.4.2 Ultra thin SOIp. 64
4.4.3 Comparisonsp. 67
4.5 Cost Reduction and Scalabilityp. 70
4.5.1 Introductionp. 70
4.5.2 Recycling of seed waferp. 70
4.5.3 Double porous Si layersp. 72
4.5.4 Splitting with a water jetp. 74
4.5.5 300 mm wafer SOIp. 77
4.6 Summaryp. 78
5 Wafer characterizationp. 83
5.1 Introductionp. 83
5.2 Characterization Techniquesp. 84
5.2.1 Bonding strength/layer integrityp. 84
5.2.2 Layer thickness measurementsp. 85
5.2.3 Surface characterizationp. 86
5.2.4 Contamination/dopingp. 87
5.2.5 Defect decorationp. 88
5.2.6 Pseudo MOSFETp. 88
5.2.7 BOX measurementsp. 90
5.3 Summaryp. 91
6 Advanced applications of wafer bondingp. 93
6.1 Introductionp. 93
6.2 Advanced Microelectronicsp. 93
6.2.1 High performance partially depleted and fully depleted CMOSp. 93
6.2.2 Double gate CMOSp. 95
6.2.3 3D device integrationp. 98
6.3 Photonics and Optoelectronicsp. 102
6.3.1 Introductionp. 102
6.3.2 Light sourcesp. 102
6.3.3 Light detectorsp. 104
6.3.4 Waveguides and couplersp. 105
6.3.5 Switches, modulators and other structuresp. 107
6.4 Compliant Substratesp. 108
6.4.1 Introductionp. 108
6.4.2 Thin SOI and glass-bonded compliant substratesp. 109
6.4.3 Twist bonded compliant substratesp. 111
6.5 Microelectromechanical Systems (MEMS)p. 113
6.5.1 Introductionp. 113
6.5.2 MEMS backgroundp. 114
6.5.3 Wafer bonding as an enabling technology for MEMSp. 115
6.6 Monolithic Integrationp. 117
6.7 Summaryp. 118
Appendix 1 A manufacturing process for silicon-on-silicon wafer bondingp. 123
Summaryp. 123
A1.1 Introductionp. 123
A1.2 Direct Wafer Bondingp. 123
A1.2.1 Surface preparationp. 124
A1.2.2 Contactingp. 124
A1.2.3 Thermal annealingp. 125
A1.3 Physical Parameters of Incoming Silicon Substratesp. 125
A1.4 Pre-join Surface Preparationp. 126
A1.5 Ambient Temperature Bondingp. 127
A1.6 Thermal Annealing Processp. 128
A1.7 Analysis of Voids Within the Thermally Annealed Interfacep. 128
A1.8 Grinding of Device Layer to Semi-Finished Thicknessp. 129
A1.9 Measurement of Carrier Concentration Across the Interface Layerp. 129
A1.10 Data and Resultsp. 129
A1.10.1 Non-megasonic 'concentrated' RCA clean plus single-side-scrub (SSS)p. 130
A1.10.2 Megasonic 'very dilute' RCA clean plus Marangoni dryingp. 131
A1.10.3 SRP analysis of bonded interface layerp. 133
A1.11 Semiconductor Applicationsp. 133
A1.12 Conclusionsp. 133
Appendix 2 Glossaryp. 135
Appendix 3 Comparison of bonded wafer technologiesp. 141
Appendix 4 Further reading and websitesp. 143
Subject indexp. 145